EDA数字钟实训报告VHDL程序.docx

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA数字钟实训报告VHDL程序

EDA实训报告 指导教 师朱小祥 田欢专业电子信息工程技术班级电信1301姓名 郑登日期2015年6月23日—27日 一、实训目的 1、了解数字钟的工作原理。 2、进一步熟悉用VHDL语言编写驱动七段码管显示的代码。 3、掌握VHDL编写中的一些小技巧。 二、实训原理 多功能数字钟应该具有的功能有:显示时-分-秒、整点报时、小时和分钟可调等基本功能。首先要知道钟表的工作机理,整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,但是需要注意的是,小时的范围是从0~23时。 在实训中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制??显示十位,用一个四位的二进制码(BCD码)显示个位,对于小时因为它的范围是从0~23,所以可以用一个2位的二进制码显示十位,用4位二进制码(BCD码)显示个位。 实训中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描确需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟进行分频。 对于整点报时功能,用户可以根据系统的硬件结构和自身的具体要求来设计。本实训设计的是当进行整点的倒计时5秒时,让LED来闪烁进行整点报时的提示。 三、实训内容 本实验的任务就是设计一个多功能数字钟,要求显示格式为 小时-分钟-秒钟,整点报时,报时时间为10秒,即从整点前10秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5秒LED开始闪烁,过整点后,停止闪烁。调整时间的的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时,S2调整分钟,每按下一次,分钟增加一分钟。另外用按键作为系统时钟复位,复位后全部显示00-00-00。 四、实训步骤 1、写出数码管显示的程序部分。 2、写出数字钟的程序部分。 3、写出调时和蜂鸣器程序部分。 4、管脚分配和下载实验。 5、修改程序,简化,写注释。 五、实训VHDL程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity shizhong is port(sr:out std_logic_vector(0 to 6); led:out std_logic_vector(0 to 3); tr:out std_logic_vector(0 to 5); clk:in std_logic; c1,c2,c3,c4:in std_logic; speak:out std_logic); end shizhong; architecture behave of shizhong is----结构体 signal disp_temp :integer range 0 to 10; signal sec1m,sec10m :integer range 0 to 9:=0;----秒的个位和十位信号 signal sec1,sec10 :integer range 0 to 10:=0;---------- signal hor1,hor10 :integer range 0 to 10:=0; signal clk1khz:std_logic;---1KHz信号 signal clk1hz:std_logic;----1HZ信号 signal st:std_logic; signal display :integer range 0 to 5; signal k1,k2:std_logic_vector(2 downto 0); signal k3:std_logic; signal f1:std_logic_vector(1 downto 0); signal cink: integer range 0 to 100; BEGIN --------------------------------------------------------50MHz分频出1Hz信号 PROCESS(clk) variable cnt:integer range 0 to begin if clk=1 and clkevent then

文档评论(0)

haihang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档