图1-1ALTERA网站首页.PDF

  1. 1、本文档共58页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
图1-1ALTERA网站首页

1-4 數位電路設計實務教本 使用 VHDL - Quartus II 圖1- 1 ALTERA 網站首頁 2. 點選Download 按鈕之後便會出現Download Center 的網頁畫面 ,此處我 們必需選擇Quartus® II Web Edition v7.2 Service Pack 2 來下載。 第一章 CPLD 發展環境簡介 1-5 圖1-2 Quartus II 下載中心網頁 3. 進入建立使用者帳號的訊息畫面。第一次使用的使用者在輸入正確的電 子郵件信箱之後 ,隨即會產生一個要求輸入使用者基本資訊的帳號註冊 視窗,在依要求逐項輸入欄位資料(有星號標示的欄位必填) ,並自行建立 使用者名稱(Create User Name) 和密碼(Create Password) 之後,按下 【Create Account 】。 圖1-3 建立自己在A 的帳號 1-6 數位電路設計實務教本 使用 VHDL - Quartus II 圖1-4 輸入個人資料 圖1-5 帳號建立完成 第一章 CPLD 發展環境簡介 1-7 4. 我們可點選新彈出 Download Manager 視窗下方的 “download the file without using the Download Manager ” ,便能開始下載Quartus II Software Web Edition Version 7.2 Service Pack 2 。 圖1-6 所產生之 Download Manager 視窗 1-2-2 Quartus II 軟體取得授權 1. 在執行下載Quartus II 軟體後,我們也可同時為Quartus II 軟體取得授權 檔(*.dat)。首先,我們得在完成下載程序的最後網頁中點選 “License your software”。 1-8 數位電路設計實務教本 使用 VHDL - Quartus II 圖1-7 License your software 2. 選擇【 Get licenses 】之後,便會出現Quartus II Web Edition Software Licenses 的進一步選擇頁面,此處我們點選 “Get a license for the Quartus II Web Edition Software Licenses and the ModelSim -Altera Web Edition Software ”。 第一章 CPLD 發展環境簡介 1-9 圖1-8 點選 【Get My License File 】/ 【Get licenses】 圖1-9 Quartus II Web Edition Software Licenses 1-10 數位電路設

文档评论(0)

jyf123 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档