交通灯信控制设计_.docVIP

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
交通灯信控制设计_

EDA技术实验报告册 班  级: 姓  名: 学  号: 指导教师: 开课时间: 2013 至 2014 学年第 1 学期 实验名称 交通灯信号控制设计 实验时间 2013年12月05日 姓 名 实验成绩 一、实验目的 1.掌握VHDL语言的基本结构。 2.掌握VHDL层次化的设计方法。 3.掌握VHDL基本逻辑电路的综合设计应用。 二、实验设备 计算机软件:Quartus II EDA实验箱。主芯片:EPM7128SLC84-15或EP1K100QC208-3。下载电缆,导线等。 三、实验内容 设计并调试好一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制器,具体要求如下: 1.主、支干道各设一个绿、黄、红指示灯,两个显示数码管。 2.主干道处于常允许通行状态,而支干道有车来时才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。 3.当主、支干道均有车时,两者交替允许通行,主干道每次放行45S,支干道每次放行25S,在每次由亮绿灯变成亮红灯的转换过程中,要亮5S的黄灯作为过渡,并进行减计时显示。 要求编写交通灯控制器电路逻辑图中的各个模块的VHDL语言程序,并完成交通灯控制器的顶层设计,然后利用开发工具软件对其进行编译和仿真,最后要通过实验开发系统对其进行硬件验证。 (一)编写交通灯控制器JTDKZ模块的VHDL程序,并对其进行编译和仿真,初步验证设计的正确性。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY JTDKZ IS PORT(CLK, SM, SB: IN STD_LOGIC; MR, MY, MG, BR, BY, BG: OUT STD_LOGIC); END ENTITY JTDKZ; ARCHITECTURE ART OF JTDKZ IS TYPE STATE_TYPE IS(A, B, C, D); SIGNAL STATE: STATE_TYPE; signal cnt:integer range 0 to 45; BEGIN PROCESS(CLK) IS BEGIN IF(CLK'EVENT AND CLK='1')THEN CASE STATE IS WHEN A=> IF(SB AND SM)='1' THEN IF CNT=44 THEN CNT<=0; STATE<=B; ELSE CNT<=CNT+1;STATE<=A; END IF; ELSIF(SB AND (NOT SM))='1' THEN STATE<=B; CNT<=0; ELSE STATE<=A; CNT<=0; END IF; WHEN B=> IF CNT=4 THEN CNT<=0;STATE<=C; ELSE CNT<=CNT+1;STATE<=B; END IF; WHEN C=> IF(SM AND SB)='1' THEN IF CNT=24 THEN CNT<=0; STATE<=D; ELSE CNT<=CNT+1;STATE<=C; END IF; ELSIF SB='0' THEN STATE<=D; CNT<=0; ELSE STATE<=C; CNT<=0; END IF; WHEN D=> IF CNT=4 THEN CNT<=0; STATE<=A; ELSE CNT<=CNT+1;STATE<=D; END IF; END CASE; END IF; END PROCESS ; RGY:PROCESS(STATE) IS BEGIN

文档评论(0)

135****6041 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档