基于FPGA的DDS信号发生器设计【文献综述】.doc

基于FPGA的DDS信号发生器设计【文献综述】.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
毕业设计文献综述 电子信息科学与技术 基于FPGA的DDS信号发生器设计 摘 要:讨论了DDS信号发生器的原理及性能特点,简单介绍了实现该技术的几种方式,以及几种方案的比较。 关键字:直接数字频率合成器(DDS);FPGA; 0、引言 传统的信号波形产生方法,如RC和LC振荡器或单片模拟集成函数发生器,尽管它们的电路实现比较简单,但产生的信号波形频率精度和稳定度并不是很理想,而使用锁相环技术,频率精度有了很大的提高,但工艺相对比较复杂,分辨率也不高,频率变换和实现计算机程序控制也不方便。随着电子技术的迅速发展,一种全新的信号合成技术,即直接数字频率合成技术,将先进的数字信号处理理论与方法引入信号合成领域,实现了合成信号的频率转换和频率准确度之间的统一。DDS以其优越的性能特点成为现代频率合成技术中的佼佼者,被广泛应用于跳频通信、雷达、导航、电子侦察、干扰和反干扰等电子技术领域,具有很高的研究价值。 1、DDS的原理及性能特点 DDS是一种从相位概念出发直接合成所需波形的数字频率合成技术,主要通过查波形表实现。由所学过的奈奎斯特抽样定理可知,当抽样频率大于被抽样信号的最高频率2倍时,通过抽样得到的数字信号可以通过一个低通滤波器还原成原来的信号。DDS信号发生器主要由参考时钟、相位累加器、波形存储器、D/A转换器和模拟低通滤波器组成(如图1)。 图1 DDS原理结构图 图2 相位累加器 由图1可知,在参考时钟fs的控制下,频率控制字k与相位寄存器的输出反馈经累加器完成加运算,并把计算结果寄存于相位寄存器,作为下一次加运算的一个输入值。而相位累加器输出高位数据作为波形存储器(即图中的ROM表)的相位地址值,用于查找波形存储器中相对应单元的电压幅值,得到波形二进制编码。波形二进制编码再通过D/A转换器,把数字信号转换成模拟信号。低通滤波器可进一步滤除模拟信号中的高频成分,使输出的模拟信号更平滑。在整个过程中,当相位累加器完成一次加运算并输出时,DDS系统就完成一个周期输出任务,所以DDS输出频率和频率分辨率为 输出频率 频率分辨率 式中,k为频率控制字;fs为参考时钟,N为相位累加器的位宽。 DDS的性能优点: (1)输出频率相对带宽较宽,实际可达40%fc;(2)频率转换时间短,可达纳秒数量级;(3)频率分辨率极高,大多数DDS分辨率在1Hz数量级,许多小于1mHz;(4)相位变化连续;(5)输出波形灵活性好,可通过在波形存储器中存放不同波形数据就可实现任意波形的输出;(6)体积小,易于集成,功耗低;(7)易于程控,使用灵活。 DDS的性能缺点: (1)输出频带范围有限;(2)输出杂散大,由资料可知杂散来源有三个:相位累加器舍位误差造成;幅度量化误差造成;D/A转换器非理想特性造成。 2、实现DDS的2种方式 2.1 利用专用DDS芯片实现 (1)使用高性能DDS单片电路实现 随着电子技术的发展,现在有许多性能优良的DDS产品推出,如Qualcomm、AD、Sciteg和Stanford等公司。拿美国AD公司的AD9850来作简单介绍:AD9850内部有可编程DDS系统、高性能DAC及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器。32位频率控制字,在125MHz时钟下,输出频率分辨率可达0.029Hz。 (2)使用低频正弦波DDS单片电路实现 Micro Linear公司的推出的低频可编程正弦波DDS单片电路ML2035生成的频率较低(0~25kHz),一般用于一些需产生的工频和音频的场合。很适合需要低成本、高可靠性的低频正弦波信号的场合。 2.2 使用自主设计基于FPGA芯片的电路实现 FPGA的大规模、高集成度、高可靠性、高速、可反复配置,以及拥有强大的智能开发软件,十分适合实现DDS技术。利用EDA开发软件,可完成设备输入、编译、器件适配、设计仿真、定时分析、器件编程的所有过程。 基于FPGA的DDS实现有4种方式: VHDL语言实现 根据原理图,在QuartusII中建立顶层文件和底层模块文件,底层 模块包括加法模块、寄存器模块、ROM查找表模块,波形初始化数据模块,对个模块编程实现功能,最后综合在一起。 原理图设计实现 调用QuartusII中的LPM模块,进行仿真。 基于模块的实现 根据将编程后的模块转换成模块符号,在顶层文件中调用模块符号和LPM模块,手动连接。 基于DSP Builder的实现 把MATLAB/Simulink中的DSP系统设计转化为HDL文件,在QuartusII中

文档评论(0)

chengzhi5201 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档