硬件描述语言VHDL概述1.ppt

  1. 1、本文档共61页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
采用进程描述素数检测逻辑电路的例子如下: architecture li8_arch of li8 is --结构体 begin process(a) --进程, a是敏感信号 variable y0,y1,y2,y3 : std_logic; --在进程内定义变量 begin y3:=a(0) and not a(3); --变量赋值,使用变量赋值符号:= y2:=a(1) and not a(2) and not a(3); --变量赋值 y1:=a(1) and not a(2) and a(0); --变量赋值 y0:=a(0) and not a(1) and a(2); --变量赋值 w=y3 or y2 or y1 or y0; --信号顺序赋值 end process; end; (3)if 语句 if语句的语法格式如下: if 条件语句 then 顺序语句 end if; ? if 条件语句 then 顺序语句 else 顺序语句 end if; ? if 条件语句1 then 顺序语句 elsif 条件语句2 then 顺序语句 … elsif 条件语句N then 顺序语句 else 顺序语句 end if; 如下是在进程中使用if语句描述素数检测逻辑电路的例子。 architecture li9_arch of li9 is --结构体 begin process(a) --进程 variable p : integer; --定义变量 begin p:=conv_integer(a); --将4位二进制数a转换成整数 if p=1 or p=2 then w=1; --if,then语句 elsif p=3 or p=5 or p=7 or p=13 then w=1; --elsif,then语句 else w=0; --else语句 end if; end process; end li9_arch; 4)case语句 case语句也是一种顺序语句,它的语法格式如下: case 表达式 is when 选择值 = 顺序语句 … when 选择值 = 顺序语句 when others =顺序语句 end case 若是选择值不能包含表达式的所有值,则需要使用关键字others来代替剩余的选择值。 使用case语句描述素数检测逻辑电路的例子如下: architecture li10_arch of li10 is --结构体 begin process(a) --进程 begin case conv_integer(a) is --case语句,将a转换成整数 when 1 = w =1; when 2 = w =1; when 3|5|7|11|13 = w =1; when others = w=0; end case; end process; end li10_arch; 10.9 用VHDL语言描述组合电路 1. 信号赋值语句实现组合电路 描述组合电路的信号赋值语句是简单信号赋值(=)、条件信号赋值(when-else)和选择信号赋值语句(with-select-when),这些语句中的被赋值信号一定是结构体内声明的信号或是在实体中的输出端口信号。 (1)简单赋值语句例:描述一个与非门。 architecture simple of comm is begin c=a nand b; --简单信号赋值语句 end simple; 这里a与b输入端口,c是输出端口。 2)条件信号赋值(when-else)例:描述一个2选1选择器,其中sel为选择端口,a和b是输入数据端口,output_signal是输出端口。 architecture sim of whenesle is begin output_signal = a when sel = 1 else --条件赋值语句 b when sel = 0 else X; end sim; (3)选择信号赋值语句(with-select-when)例:描述一个2选1选择器。 archit

文档评论(0)

yurixiang1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档