第3章硬件描述语言VHDL基础.ppt

  1. 1、本文档共126页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
【例3-14】 用元件例化语句描述由D触发器构成移位寄存器的VHDL程序。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY shift_register IS PORT(a,clk:IN STD_LOGIC; b:OUT STD_LOGIC); END shift_register; ARCHITECTURE four_bit_shift_register OF shift_register IS COMPONENT dff --元件例化dff PORT(a,clk:IN STD_LOGIC; b:OUT STD_LOGIC); END COMPONENT; SIGNAL s:STD_LOGIC_VECTOR(0 TO 4); BEGIN s(0)=a; dffl:dff PORT MAP(s(0),clk,s(1)); dff2:dff PORT MAP(s(1),clk,s(2)); dff3:dff PORT MAP(s(2),clk,s(3)); dff4:dff PORT MAP(s(3),clk,s(4)); b=s(4); END four_bit_shift_register; 【例3-15】 用FOR GENERATE语句实现电路的VHDL程序。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY shift_register IS PORT(a,clk:IN STD_LOGIC; b:OUT STD_LOGIC); END shift_register; ARCHITECTURE four_shift_register OF shift_register IS COMPONENT dff --元件例化语句 PORT(c d,clk:IN STD_LOGIC; q:OUT STD_LOGIC); END COMPONENT; SIGNAL s:STD_LOGIC_VECTOR(0 TO 4); BEGIN s(0)=a: --输入信号代入语句 registerl:FOR i IN 0 TO 3 GENERATE dffx:dff PORT MAP(s(i),clk,s(i+1)); --端口映射 END GENERATE; b=s(4); --输出信号代入语句 END four_shift_register; 【例3-16】由IF GENERATE语句描述由D触发器扩展成移位寄存器的VHDL程序。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY shift IS GENERIC (n:INTEGER:=8); PORT(a,clk:IN STD_LOGIC; b:OUT STD_LOGIC); END shift; ARCHITECTURE gen_shift OF shift IS COMPONENT dff PORT(d,clk:IN STD_LOGIC; q:OUT STD_LOGIC); END COMPONENT; SIGNAL z:STD_LOGIC_VECTOR(1 TO(n-1)); BEGIN g1:FOR i IN 0 TO(n-1)GENERATE u0:IF i=0 GENERATE dffx:dff PORT MAP(a,clk,z(i+1)); END GENERATE; u1:IF i=(n-1) GENERATE dffx:PORT MAP(z(i),clk,b); END GENERATE;

文档评论(0)

xiaohuer + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档