EDA课程8位数码管扫描显示的设计.doc

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PAGE PAGE 6 EDA课程 8位数码管扫描显示的设计 专业: 电子信息工程 学号: 2010040209 姓名: 郭亚兵 一、系统方案论证 如下图(1)所示的是8位数码扫描显示电路,其中每个数码管的8个段:h, g, f, e, d, c, b, a(h是小数点)都分别连在一起,8个数码管分别由8个选通信号k1, k2, k3,……k8来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,k2为高电平,其余选通信号为低电平,这是仅k3对应的数码管显示来自段信号端的数据,其余7个数码管呈现关闭状态。根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1, k2, k3,……k8分别被单独选通,并在此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。 二、实验原理与内容 实验原理: 1 每个数码管的8个段:hgfedcba(h是小数点)都分别连在一起, 8个数码管分别由8个选通信号k1.k2.。。。k8选择,被选通的数码管(高电平)显示数据,其余关闭。如在某一时刻,k3为高电平,其余为低电平,这时仅k3对应的数码管显示来自段信号端的数据,其余的都关闭。据此,就必须使8个选通信号分别被单独选通,并同时在段信号输入口加上希望显示的数据,就能实现功能 。 2 Clk 是扫描时钟,SG 为7段控制信号,由高位之低位分别接gfedcba7个段,BT是位选控制信号。Cnt8是一个3位计数器,做扫描计数信号,由进程P2生成。进程P3是7段译码查表输出程序,进程P1 是对8个数码管选通的扫描程序。例如当CNT8=001时,K2对应的数码管被选通,同时A被赋值2,再由进程P3译码输出1001111,显示在数码管上即为2,。当cnt8扫变时,将能在8个数码管上显 3 本次试验不显示小数点,可把SG段控制信号向量变为8位(最高位代表小数点),并在最高位设置成恒0低电平。 图4-1 动态数码扫描显示硬件电路原理图 三、实验步骤 1、调出调出预先编好的的源程序,检查是否正确; 在quartus 中新建一个工程命名为SCAN_LED,将预先编辑好的程序复制入vhdl文件中,保存并命名为SCAN_LED。 2、编译、仿真程序; 点击start complilation按钮进行全程仿真没有错误后开始进行硬件仿真,首先进行引脚锁定,按照实验讲义中的器件引脚选择模式6,锁定引脚后如下图所示。 3、引脚锁定完成后,开始下载生成的sof文件,会发现数码管开始显实验成功。 四、实验报告 1、写出实验源程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SCAN_LED IS PORT ( clk : IN STD_LOGIC; SG : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --段控制信号输出 BT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); --位控制信号输出 END SCAN_LED; ARCHITECTURE one OF SCAN_LED IS SIGNAL CNT8 : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL A : INTEGER BEGIN P1: PROCESS( CNT8 ) BEGIN CASE CNT8 IS WHEN 000 = BT =;A = 2 ; WHEN 001 = BT =;A = 3 ; WHEN 010 = BT =;A = 4 ; WHEN 011 = BT =;A = 0 ; WHEN 100 = BT =;A = 8 ; WHEN 101 = BT =;A = 1 ; WHEN 110 = BT =;A = 4 ;

文档评论(0)

yurixiang1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档