按键去抖课程设计报告书.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
目 录 TOC \o 1-3 \h \z \u 摘 要 2 第一章 EDA技术简介 3 第二章 按键去抖设计要求 4 第三章 按键去抖分析 4 第四章 按键去抖设计方案 5 第五章 按键去抖模块 6 5.1 去抖动电路模块 6 5.2 去抖动电路模块程序 6 5.3 按键扫描模块 8 5.4 按键扫描程序 8 第六章 按键去抖的顶层原理图设计 9 6.1 顶层原理图的源文件 9 6.2顶层原理图的时序仿真图 10 第七章 学习心得 11 课程设计评分表 12 摘 要 为了解决 FPGA/ CPLD 系统的按键抖动问题, 用 VH DL 语言有限状态机的方法, 在 S0 状态下检测到有按键操作则转入延时状态 S1 ,延时结束后, 用状态 S2 , S3 , S4 对按键进行连续三次取样,如果三次取样均为低电平, 则转入状态 S5并输出按键确认信号,否则, 返回状态 S0。电路经仿真分析, 并下载到, EPM7128ATC100 芯片进行了验证, 能够确保每次按键操作,产生一次按键确认, 有很好的按键消抖效果, 性能稳定。主要创新点是用VHDL语言有限状态机设计按键的消抖。 关键词:按键消抖; 电路仿真; VH DL;状态机 第一章 EDA技术简介 随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。 为了克服上述困难,加拿大Interactive Image Technologies公司推出的基于Windows 95/98/NT操作系统的EDA软件(Electronics Workbench“电子工作台”,EWB)。他可以将不同类型的电路组合成混合电路进行仿真。EWB是用在计算机上作为电子线路设计模拟和仿真的新的软件包,是一个具有很高实用价值的计算机辅助设计工具。目前已在电子工程设计等领域得到了广泛地应用。与目前流行的电路仿真软件相比较,EWB具有界面直观、操作方便等优点。他改变了有些电路仿真软件输入电路采用文本方式的不便之处,该软件在创建电路、选用元器件的测试仪器等均可以直接从屏幕图形中选取,而且测试仪器的图形与实物外形基本相似,从而大大提高了电子设计工作的效率。 此外,从另一角度来看,随着计算机技术和集成电路技术的发展,现代电子与电工设计,已经步入了电子设计自动化(EDA)的时代,采用虚拟仿真的手段对电子产品进行前期工作的调试,已成为一种发展的必然趋势。通过对实际电子线路的仿真分析,从而提高对电路的分析、设计和创新能力。 第二章 按键去抖设计要求 按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点, 在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键的抖动, 确保按键每按一次只做一次响应[ 1, 2] 。随着可编程逻辑器件的综合性能的不断提高,它已经象单片机一样, 广泛应用在各种数字逻辑领域。用可编程逻辑器件直接获取键盘信息也得到广泛的应用。这里提出用VHDL 语言编程的有限状态机的设计方法来实现按键的消抖, 经仿真分析和下载实现,这种方法设计的消抖电路能够很好地实现电路功能,进行快速按键时都能保证每按一次做一次的响应, 且性能稳定。本课程设计的具体要求如下: (1) 设计一个4路独立键盘输入电路,读取键盘的键值并通过发光二极管显示出来。 (2) 输入为时钟信号CLK(50MHz),按键状态KEY1,KEY2,KEY3,KEY4。 (3) 输出为D1,D2,D3,D4。根据按键的状态控制发光二极管的亮灭。 第三章 按键去抖分析 按键消抖的关键是提取稳定的低电平(或高电平) 状态, 滤除按键稳定前后的抖动脉冲。在用基于VHDL 语言的时序逻辑电路设计按键消抖电路时, 可以用一个时钟脉冲信号对按键状态进行取样,当第一次采样到低电平时,启动延时电路,延时结束后,再对按键信号进行连续三次取样, 如果三次取样都为低电平,则可以认为按键已经处在稳定状态, 这时输出一个低电平的按键确认信号,如果连续三次的取样中, 至少有一次是高电平,则认为按键仍处在抖动状态, 此时不进行按键确认,按键输出信号为高电平。 第四章 按键去抖设计方案 按键消抖一般采用硬件和软件消抖两种方法。硬件消抖是利用电路滤波的原理实现,软件消抖是通过按键延时来实现。在微机系统中一般都采用软件延时的消抖方法。在用可编程逻辑器件FPGA/ CPLD 设计数字系统中, 也可以用 VHDL 语言设计相应的时序和逻辑电路,对

文档评论(0)

文档分享 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档