新型电子密码设计开题报告.docxVIP

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
毕业设计(论文)开题报告 (课题目的意义;主要设计(研究)内容;设计(研究)方案;预期成果;进度安排 及主要参考文献等) 课题目的意义 从上个世纪初至今,人类进入了信息时代,如何在有效的带宽内传送更有效的数据成了通信技术研究中的重点之一。对信号的调制解调技术一直是人们研究的重要方向之一,因为一个系统的通信质量,很大程度上依赖于所采用的调制解调方式,对调制解调方式的研究,将直接决定着通信系统质量的好坏。DPSK即差分相移键控,是数字通信系统中常用的相对调相方式, 采用非相干的相移键控形式,它不需要在接收机端有相干参考信号,而且非相干接收机容易实现,价格便宜,因此在无线通信系统中广泛使用。 主要设计(研究)内容 主要内容:在熟悉DPSK原理和FPGA/CPLD的开发与应用的基础上,研究出DPSK信号发生器的设计方法, DPSK就是通过码变换加CPSK调制产生的。DPSK信号发生器就是以输入一个原基带信号经过绝对码-相对码转换、用相对码进行CPSK调制,最终输出便是DPSK 信号,并用VHDL实现。 基本要求: 1.熟悉DPSK原理和设计方法: 差分移相键控(DPSK)是数字调制方式的一种, 利用调制信号前后码元之间载波相对相位的变化来传递信息。 2.熟悉FPGA/CPLD的开发与应用。 FPGA,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载将代码传送到目标 芯片中,实现设计的 数字系统。 3.熟悉模块化的设计思想。 DPSK信号的产生,先需将绝对码变换成相对码,然后用相对码对载波进行绝对调相(CPSK),即可得到相对码调相(DPSK)信号。我将分为DPSK调制电路模块,DPSK解调电路模块。 设计(研究)方案 进行DPSK调制解调方案选择,给出线路结构、VHDL语言编程并提供设计结果。 其实现步骤包括: 研究DPSK信号发生器的原理及设计方法; DPSK由发送端的调制模块与接收端的解调模块构成。在发送端,对于调制模块,首先产生两种不同相位的载波信号f1和f2,再通过一个二选一选通开关来选择载波信号,其中具体的载波信号由输入的基带信号来决定。这些信号处理都在调制模块中实现,输出的即为DPSK调制信号,最后通过信道发送到接收端。对于解调模块,调制信号先由位同步提取电路提取出载波同步信号,然后由载波同步信号来控制计数器的启动与停止,分别对调制信号来计数,最后通过一个判决电路来判断输入的调制信号是‘0’ 还是‘1’,输出的即为解调的基带信号。 根据各个系统的总体功能,设计总体框图; DPSK方法是把原基带信号经过绝对码——相对码变换后,用相对码进行DPSK 调制,其输出便是DPSK 信号。用源码序列对载波进行相对(差分)相移键控,等效于将源码序列转换为差分码形式,之后对载波进行绝对相移键控。 绝/相对码变换 绝/相对码变换 CPSK 调制 相/绝对码变换 信道 CPSK 解调 绝对码 相对码 绝对码 相对码 3.根据VHDL语言特点,对系统进行VHDL建模; (1)DPSK调制模块(绝/相对码变换与CPSK调制)的VHDL建模 绝/相对码变换的VHDL建模: CPSK调制的VHDL建模: (2)DPSK解调电路(CPSK解调与相/绝对码变换)的VHDL建模 CPSK解调的VHDL建模: 相/绝对码变换的VHDL建模: 4.根据VHDL模型,进行具体VHDL语言程序设计 (1)DPSK调制模块(绝/相对码变换与CPSK调制) 绝/相对码变换模块由计数器,异或门,寄存器组成。绝对码——相对码之间的关系为:绝对码中的码元“1”使相对码元改变,绝对码元“0”使相对码元不变,异或门与寄存器共同完成绝/相变换功能。 CPSK调制模块主要由计数器和二选一开关等组成。计数器对外部时钟信号进行分频与计数,并输出两路相位相反的数字载波信号;二选一开关的功能是:在基带信号的控制下,对两路载波信号进行选通,输出的信号即为CPSK信号。 (2)DPSK解调电路(CPSK解调与相/绝对码变换) CPSK解调模块由计数器判决器等组成。计数器q输出与发端同步的0相数字载波。判决器的工作原理是:把计数器输出的0相载波与数字DPSK信号中的载波进行逻辑“与”运算,当两比较信号在判决时刻都为“1”时,输出为“1”,否则输出为“0”,以实现解调的目的。 相对码-绝对码转换模块由计数器,异或门,寄存器组成。相对码——绝对码之间的关系为:相

文档评论(0)

191****9594 + 关注
实名认证
文档贡献者

教师资格证持证人

资深一线教师,擅长教学研究及考试辅导。

领域认证该用户于2024年01月17日上传了教师资格证

1亿VIP精品文档

相关文档