四位加法器设计.doc

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术与应用 实验报告 实验名称: 四位加法器设计 姓 名: 陈丹 学 号: 100401202 班 级: 电信二班 时 间: 2012.11.20 南京理工大学紫金学院电光系 实验目的(四号+黑体) 1)讲解QuartusⅡ 软件和实验箱的使用。 2)利用原理图输入法实现4位串行进位加法器。 3)重点掌握软件使用过程中工程建立、原理图输入方法、编译、仿真、管脚配置等。 实验原理 全加器表达式为: 4位串行进位加法器逻辑图: 使用QuartusⅡ软件进行设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程。 实验内容 1) QuartusⅡ软件简介 1. QuartusⅡ支持和其它公司所提供的EDA工具接口。 2. 提供了与结构无关的可编程逻辑设计环境。 3. 提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能 4. 软件支持硬件描述语言设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。 2)利用QuartusⅡ软件按图示设计一位全加器: 进行编译,然后建立并保存波形文件(如图);仿真生成虚拟一位全加器。 将4个一位全加器连成一个4位串行进位加法器,如图 4)保存好后,将4位串行进位加法器设置为顶层实体 如图 5)为电路分配管脚 a0-a3为输入管脚,对应实验箱键1-4,二进制变量a低位->高位 b0-b3为输入管脚,对应实验箱键5-8,二进制变量b低位->高位 c0输出管脚,对应实验箱LED灯D5,进位输出端 s0-s3为输出管脚,对应实验箱LED灯D1-D4,二进制结果变量s低位->高位 配置好后进行编译。 6)将配置好的文件下在到实验箱利用模式5验证电路的正确性。 小结与体会 1.quartus 2 软件使用还不熟练,软件首先应建立工程,然后建立工程文件,再编译,最后建立波形文件。VHDL文件的顶层实体名,文件名,实体名须一致,否则编译报错。 2.设计过程中使用自顶向下的的设计模式,模块化的方法,便于设计与模块调试,以及模块的复用 3.模块间的联系可使用signal信号相联系,使用时需注意与原理图对应 这次实验我将书上学到的知识马上应用到软件中,我意识到了实践的重要性和软件的实践性。我深深地感到要学好自己的专业还需继续努力。

您可能关注的文档

文档评论(0)

_______ + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档