基于FPGA的交通灯的设计.pdf

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

课程设计

一、设计任务要求

基于FPGA的交通灯控制器设计

1、总体要求:

实现十字路口的交通灯有序显示

2、具体要求:

按照开发板上的两组红、黄、绿做为南北双向指示灯

红灯亮60秒,绿灯亮55秒,黄灯亮5秒

要求采用状态机实现状态切换

3、附加要求:

采用两组两位数码管实现时间倒计时显示

二、设计思路

1、总体设计方案

由设计任务要求可知

输入部分有:

CLK时钟频率输入,可由实验板上直接提供,本设计选用1kHZ时钟频率。

输出部分有:

1)东西方向和南北方向各使用3个LED显示,红黄绿各代表红黄绿灯。

2)东西方向和南北方向计时均为2位数,共需要4个LED七段数码管显示。由于

FPGA课程设计

为共阴极控制,输出三个SEL0,SEL1,SEL2信号控制选择数码管显示,

A,B,C,D,E,F,G信号为输出显示的内容。

3)R1,G1,Y1;R2,G2,Y2信号分别为东西南北红绿灯的输出控制信号。

总体设计软件原理图如下所示

设计方案原理图:

图1

A对应13脚;B对应30脚;

C对应15脚;D对应31脚;

E对应33脚;F对应32脚;

G对应35脚;R1对应4脚;

R2对应5脚;Y1对应3脚;

交通灯设计2

FPGA课程设计

Y2对应10脚;G2对应8脚;

SEL0对应14脚;SEL1对应11脚;

SEL2对应12脚.CLK对应24脚;

交通灯系统结构图如下所示:

红黄绿红黄绿

LEDLED

东西方向交通灯南北方向交通灯

红黄绿红黄绿

输入时

钟CLK

图2

状态切换的状态图如下图:

东西方向

红红绿黄红红

60S55S5S60S

南北方向

绿黄红红绿黄

交通灯设计3

55S5S60S55S

FPGA课程设计

图3

2、模块设计及结果

在VHDL设计中,采用自顶向下的设计思路。

顶层模块中,根据硬件设计,设置如下端口:

外部时钟信号:Clk

东西方向状态灯控制信号:R1,G1,Y1;

南北方向状态灯控制信号:R2,G2,Y2;

(1)分频模块:由于外部时钟信

您可能关注的文档

文档评论(0)

a1013019973 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档