有限状态机-教学课件.pptxVIP

有限状态机-教学课件.pptx

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

EDA技术教材:《EDA技术及应用》,张丽华主编主要参考书:《EDA技术与VHDL》,潘松主编

第六章有限状态机6.1有限状态机概述6.2Moore型状态机设计6.3Mealy型状态机设计

6.1有限状态机概述6.1.1状态机的特点6.1.2状态机的分类6.1.3一般状态机的结构

6.1.1状态机的特点1.状态机具有纯硬件电路的速度和软件控制的灵活性;2.由于状态机的结构相对简单,设计方案相对固定;3.状态机容易构成性能良好的同步时序逻辑模块;4.与VHDL的其他描述方式相比,状态机的VHDL表述丰富多样、程序层次分明,结构清晰,易读易懂;在排错、修改和模块移植方面也有其独到的好处;5.在高速运算和控制方面,状态机更有其巨大的优势。6.高可靠性。

6.1.2状态机的分类1.Moore型有限状态机输出仅与系统状态有关,与输入信号无关的状态机。2.Maely型有限状态机输出与系统状态和输入信号均有关的状态机。

6.1.3一般状态机的结构一般状态机结构框图时序逻辑进程组合逻辑进程

谢谢!

EDA技术教材:《EDA技术及应用》,张丽华主编主要参考书:《EDA技术与VHDL》,潘松主编

6.2Moore型状态机设计6.2.1Moore型状态机的特点6.2.2自动售货机设计实例

6.2.1Moore型状态机的特点Moore型状态机的输出仅为当前状态的函数。输入发生变化后必须等待时钟的到来,以使状态发生变化,才能导致输出的变换。

要求:

货物单价1.5元,有1元和0.5元两种硬币,每次投入一枚硬币,机器能找零。6.2.2自动售货机设计实例

状态转换图2仿真或硬件验证4需求分析31设计336.2.2自动售货机设计实例

货物单价:1.5元两种硬币:1元或0.5元每次可投入一枚硬币可以输出货物也可能找零投币口找零口出货口自动售货机的VHDL设计。要求:1.需求分析

货物单价:1.5元两种硬币:1元或0.5元每次可投入一枚硬币可以输出货物也可能找零自动售货机的VHDL设计。要求:三种输入:0元0.5元1元五种状态已投入0元已投入0.5元已投入1元已投入1.5元已投入2元S0S1S2S3S4三种输出:无输出有货物,无找零有货物,并找零000110IN1Y0010111.需求分析

IN1状态/YS0/00S2/00S3/10S1/00S4/1100000100000001010110101010102.状态转换图

IN1状态/YS0/00S2/00S3/10S1/00S4/1100000100000001010110101010102.状态转换图

实体结构体3.编程及仿真

IN1CLKYZDLIBRARYIEEE;USEIEEE.std_logic_1164.ALL;ENTITYZDISPORT(clk,RST:INstd_logic;IN1:INstd_logic_vector(1DOWNTO0);Y:OUTstd_logic_vector(1DOWNTO0));ENDZD;RST实体3.设计

结构体3.设计

ARCHITECTUREbeOFZDIS……BEGIN reg:PROCESS(RST,clk)--时序进程 BEGIN …… Endprocess; com:PROCESS(current_state,IN1)--组合进程 BEGIN …… Endprocess;ENDbe;IFRST=1THENcurrent_state=S0;ELSIFrising_edge(clk)THENcurrent_state=next_state;ENDIF;CASEcurrent_stateISWHENS0=WHENS1=WHENS2=WHENS3=WHENS4=ENDCASE;Y=00;IFIN1=“00”THENnext_state=S0; ELSIFIN1=01THENnext_state=S1; ELSIFIN1=10THENnext_state=S2;ENDIF;TYPEfsm_stIS(S0,S1,S2,S3,S4);SIGNALcurrent_state,next_state:fsm_st;3.设计

ARCHITECTUREbeOFZDISTYPEfsm_stIS(S0,S1,S2,S3,S4);SIGNALcurrent_state,next_state:fsm_st;BEGINreg:PR

文档评论(0)

达芬奇 + 关注
实名认证
文档贡献者

免责声明:本账号发布文档均来源于互联网公开资料,仅用于技术分享交流,不得从事商业活动,相关版权为原作者所有。如果侵犯了您的相关权利,请提出指正,我们将立即删除相关资料。

1亿VIP精品文档

相关文档