7段译码电路设计.pptVIP

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
7段译码器设计 2012-2-13 内容提要 用并行语句设计 在进程语句中设计 用ROM设计 动态扫描译码器设计 硬件电路 用并行语句设计 级数多,运行速度慢 在进程语句中设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DECL7S IS PORT( A: IN STD_LOGIC_VECTOR(3 DOWNTO 0); R: IN STD_LOGIC; LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END DECL7S; ARCHITECTURE ONE OF DECL7S IS BEGIN PROCESS(A,R) BEGIN IF R='1' THEN CASE A IS WHEN "0000" => LED7S<="1000000"; WHEN "0001" => LED7S<="1111001"; WHEN "0010" => LED7S<="0100100"; WHEN "0011" => LED7S<="0110000"; WHEN "0100" => LED7S<="0011001"; WHEN "0101" => LED7S<="0010010"; WHEN "0110" => LED7S<="0000010"; WHEN "0111" => LED7S<="1111000"; WHEN "1000" => LED7S<="0000000"; 只有一级,速度快 用ROM设计 编辑ROM文件 新建原理图 生成ROM模块 连线 不使用逻辑宏单元 动态扫描译码器设计 LED数码显示电路 从电路看,该数码显示器是共阴极结构 bcdin 输入的数据 bcdsel 位选信号 leddata 7段译码输出 ledsel 位选输出 实体定义 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity bcd_led is port ( leddata: out std_logic_vector(7 downto 0); ledsel: out std_logic_vector(3 downto 0); bcdin : in std_logic_vector(3 downto 0); bcdsel: in std_logic_vector(1 downto 0) ); end bcd_led; 7段译码 architecture behave of bcd_led is signal bcdtmp: std_logic_vector(3 downto 0); signal bcdseltmp: std_logic_vector(1 downto 0); begin process(bcdsel) begin bcdtmp<=bcdin; bcdseltmp<=bcdsel; case bcdtmp is when "0000"=> leddata<=; when “0001”=> leddata<=; when "0010"=> leddata<=; when "0011"=> leddata<=; when "0100"=> leddata<=; when "0101"=> leddata<=; when "0110"=> leddata<=; when"0111"=> leddata<=; when"1000"=> leddata<=; when"1001"=> leddata<=; when"1010"=> leddata<=; when"1011"=> leddata<=; when"1100"=> leddata<=; when"1101"=> leddata<=; when"1110"=> leddata<="10011110

文档评论(0)

文档分享 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档