基于FPGA的直流电机PWM调速系统设计与实现图文.pdfVIP

基于FPGA的直流电机PWM调速系统设计与实现图文.pdf

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA课程设计 FPGA课程设计 题 目:基于 FPGA 的直流电机 PWM 调速系统设计与实现 题 目:基于 FPGA 的直流电机 PWM 调速系统设计与实现 班级 :微电子学 1202 班 班级 :微电子学 1202 班 姓名:杜英 姓名:杜英 学号:01 学号:01 日期:2016 年 3 月 24 日 日期:2016 年 3 月 24 日 基于 FPGA 的直流电机 PWM 调速系统设计与实现 基于 FPGA 的直流电机 PWM 调速系统设计与实现 1 设计任务与要求 1 设计任务与要求 设计任务 设计任务 完成基于 FPGA 的直流电机 PWM 调速系统设计与实现,实现对直 完成基于 FPGA 的直流电机 PWM 调速系统设计与实现,实现对直 流电机的闭环调速,速度值采用 LCD 或数码管显示。 流电机的闭环调速,速度值采用 LCD 或数码管显示。 设计要求 设计要求 了解PWM 控制方法及应用;掌握 PWM 直流电机调速控制原理;掌握直 了解PWM 控制方法及应用;掌握 PWM 直流电机调速控制原理;掌握直 流电机调速及驱动控制原理;完成基于 FPGA 的直流电机 PWM 调速系 流电机调速及驱动控制原理;完成基于 FPGA 的直流电机 PWM 调速系 统设计。采用 PWM (脉冲宽度调制)技术,速度值采用 LCD 或数码管 统设计。采用 PWM (脉冲宽度调制)技术,速度值采用 LCD 或数码管 显示。 显示。 通过课程设计的实践,进一步了解和掌握硬件描述语言 (VHDL 或 通过课程设计的实践,进一步了解和掌握硬件描述语言 (VHDL 或 Verilog)和 TOP-DOWN 的设计流程,提高对实际项 目的分析和设计能 Verilog)和 TOP-DOWN 的设计流程,提高对实际项 目的分析和设计能 力,体会 FPGA 项 目开发的过程,熟悉实验报告的编写规范。 力,体会 FPGA 项 目开发的过程,熟悉实验报告的编写规范。 2 设计原理分析 2 设计原理分析 2.1 直流电机结构如下图所示 2.1 直流电机结构如下图所示 图 直流电机的构造 图 直流电机的构造 将直流电源通过电刷接通线组线圈,使线组线圈导体(电枢导体) 将直流电源通过电刷接通线组线圈,使线组线圈导体(电枢导体) 有电流通过,在电磁的作用下,线组线圈将会产生磁场,同时产生的 有电流通过,在电磁的作用下,线组线圈将会产生磁场,同时产生的 磁场与主磁极的磁场产生电磁力,这个电磁力作用于转子,使转子以 磁场与主磁极的磁场产生电磁力,这个电磁力作用于转子,使转子以 一定的速度开始旋转,电机就开始工作。 一定的速度开始旋转,电机就开始工作。 在电机的外部电路加入开关型的霍尔元件,同时在电子转子的转 在电机的外部电路加入开关型的霍尔元件,同时在电子转子的转 盘上加入一个使霍尔元件产生输出的带有磁场的磁钢片。当直流电机 盘上加入一个使霍尔元件产生输出的带有磁场的磁钢片。当直流电机 旋转时转盘与磁钢片一起旋转,当磁钢片转到霍尔元件上方时,可以 旋转时转盘与磁钢片一起旋转,当磁钢片转到霍尔元件上方时,可以 使霍尔元件的输出端高电平变为低电平。当磁钢片离开霍尔元件上方 使霍尔元件的输出端高电平变为低电平。当磁钢片离开霍尔元件上方 后,霍尔元件输出端又恢复高电平。如此,点击每旋转一周,会使霍 后,霍尔元件输出端又恢复高电平。如此,点击每旋转一周,会使霍 尔元件的输出端产生一个低脉冲,可以通过检测单位时间内霍尔元件 尔元件的输出端产生一个低脉冲,可以通过检测单位时间内霍尔元件 输出端低脉冲的个数推算出直流电机的转速。 输出端低脉冲的个数推算出直流电机的转速。 本次设计中,调节 PWM 占空比进而控制直流电机转速,在本次设 本次设计中,调节 PWM 占空比进而控制直流电机转速,在本次设 计中,为了保护霍尔元件,故设定程序每 6 秒刷新一次数据,所以在

文档评论(0)

萧关逢候骑 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档