VHDL与可编程器件3剖析.ppt

  1. 1、本文档共31页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
2021/2/7 * 4 .结构体描述设计实体的具体行为,它包含两类语句: (2)顺序语句-----顺序语句总是在进程语句(PROCESS)的内部,从仿真的角度,该语句是顺序执行的; (1)并行语句-----并行语句总是在进程语句(PROCESS)的外部,该语句的执行与书写顺序无关,总是同时被执行; 2021/2/7 * 四、配置语句 用于在多构造体中的实体中选择构造体,例如,在做RS触发器的实体中使用了两个构造体,目的是研究各个构造体描述的RS触发器的行为性能如何,但是究竟在仿真中使用哪一个构造体的问题就是配置问题。 配置语句格式: CONFIGURATION 配置名 OF 实体名 IS [说明语句] END 配置名; 2021/2/7 * 例:最简单的配置 CONFIGURATION 配置名 OF 实体名 IS  FOR 被选构造体名 END FOR; END 配置名; 两个构造体,可以用配置语句进行设置: CONFIGRATION rscon OF rs IS //选择构造体rsff1 FOR rsff1 END FOR; END rscon; ENTITY rs IS PORT(set,reset:IN BIT; q,qb: BUFFER BIT); END rs; ARCHITECTURE rsff1 OF rs IS COMPONENT nand2 PORT(a,b: IN BIT; c: OUT BIT); END COMPONENT; BEGIN U1:nand2 PORT MAP(a=>set, b=>qb, c=>q) U2:nand2 PORT MAP(a=>reset, b=>q, c=>qb) END rsff1; ? ARCHITECTURE rsff2 OF rs IS BEGIN q<=NOT(qb AND set); qb<=NOT(q AND reset); END rsff2 2021/2/7 * 本次课程内容小结: 库、程序包 实体 结构体 配置语句 VHDL程序结构 PORT语句 功能描述语句 端口模式、数据类型、语法基本格式(; begin end 等) 2021/2/7 * 第三章 VHDL语言程序设计 2021/2/7 * VHDL语言的英文全名是Very High Speed Integrated Circuit Hardware Description Language 即超高速集成电路硬件描述语言。它是70年代和80年代初,由美国国防部为他们的超高速集成电路VHSIC计划提出的硬件描述语言,它支持硬件的设计、综合、验证和测试。1986年3月,IEEE开始致力于VHDL的标准化工作,讨论VHDL语言标准。IEEE于1987年12月公布了VHDL的标准版本(IEEE STD 1076/1987);1993年VHDL重新修订,形成新的标准即IEEE STD 1076-1993)。 什么是VHDL语言? 2021/2/7 * 从此以后,美国国防部实施新的技术标准,要求电子系统开发商的合同文件一律采用VHDL文档。即第一个官方VHDL标准得到推广、实施和普及。 VHDL语言描述能力极强,覆盖了逻辑设计的诸多领域和层次,并支持众多的硬件模型。设计者的原始描述是非常简练的硬件描述,经过EDA工具综合处理,最终生成付诸生产的电路描述或版图参数描述的工艺文件。 VHDL有过两个标准: IEEE Std 1076-1987 (called VHDL 1987) IEEE Std 1076-1993 (called VHDL 1993) 2021/2/7 * 一个完整的VHDL设计实例 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY mux21a IS PORT( a, b : IN BIT ; s : IN BIT; y : OUT BIT ) ; END ENTITY mux21a ; ARCHITECTURE one OF mux21a IS BEGIN y <= a WHEN s = '0' ELSE b ; END ARCHITECTURE one ; 实体 结构体 mux21a实体 mux21a结构体 2选1多路选择器的VHDL描述 库 程序包 2021/2/7 * 一、VHDL程序的基本

文档评论(0)

xiaohuer + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档