用VHDL语言设计电梯控制器.docx

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
石家庄经济学院 数字逻辑课程设计报告 题 目 电梯控制器的设计 姓 名 meng hao 学 号 班 号 指导老师 成 绩 2011年6月 1. 1. 课程设计目的 人员输入模块为按键模块 人员输入模块为按键模块 课程设计目的 设计任 务 开发工 具选择 设计方案 模块描述 VHDL 实现 调试仿真 课程设计回顾总结 参考文献 使学生更好地巩固和加深对基础知识的理解,学会设计中小型数字系统的方法,独立完成调试 过程,增强学生理论联系实际的能力,提高学生电路设计和分析的能力。 通过实践教学引导学生在理论折导下有所创新,为后继专业课的学习和日后工程实践奠定基 础. 2、设计要求 综合应用课程中学到的理论知识,独立完成一个设计任务。 根据所要完成的设计任务,采用硬件描述语言VHDL进行描述,依靠计算机,借助EDA开发工 具,实现系统功能。 整理设计报告及相关的文档(包括总体设计思想,设计说明,程序源代码,仿真结果图、设计 总结等)。 3・设计任务 任务和要求:可使用拨键开关输入欲到达的楼层.要求有数码管显示当的楼层,目标楼层,并且可以输入 三个目标楼层,按输入的顺序达到,达到时有开门指示灯.在电梯移动时,有相应的指示灯显示其方向。 注意:电梯经过一个楼层和在楼层停留应各自设定一个固定时间. 开发工具选择 quarters II 设计方案 实验更求设计一个3层的电梯的梯控制容,采用状态机来实现,这样思路清晰,便于理解。可以分为10 个状态,为“一楼”、“等待状态1\ “等待状态2”、“等待状态3\ “等待状态4\ “开门”、“关门”、“上 升”、“下降”、“停止”.各状态之间按需要转换。由状态机进程和伯号指示灯进程一起控制。 输入由电梯外部的请求,电梯内部的请求,时钟値号组成。输出由指示灯和电梯位置组成。 模块描述 分为4各部分 电梯控制模块为电梯条件判断状态模块 宿号灯指示模块为指示灯显示模块 电梯终端模块为电梯服务模块 1. VHDL实现 代码分为实体,结构体两大块; 结构体中又分为电梯进程和指示灯进程; 电梯进程为10个状态机之间转换的代码; 指示灯进程为内外部请求指示灯的代码。 library ieee; use sandianti is port (anclk: in std_logic;—按键时钟信号 ticlk:in std_logic;—电梯时钟信号 reset:in std_logic;—复位 fiup:in std_logic; —1楼外部上升请求 seup:in std^logic; —2楼外部上升请求 sedn:in std_logic; —2楼外部下降请求 thdn:in std_logic; —3楼外部下降请求 uplight:buffer std_logic_vector (3 downto 1); 上升请求指示灯 dnlight:buffer std_logic_vector (3 downto 1); 下降请求指示灯 yilou, erlou, sanlou:in std_logic; 停站请求 splight:buffer std_logic_vector (3 downto 1);—停站请求指示灯 weizhi:buffer integer Tange 1 to 3; 位置指示 door: out std.logic; 门状态指示 updown:buffer std_logic);—上升下降状态指示 end sandianti; architecture menghao of sandianti is type 1 if testate is 状态机 (liftl, dooropen, doorclose, waitl, wait2, wait3, wait4, up, down, stop); signal meng:late; meng=doorclose; meng=doorclose; meng=doorclose; meng=doorclose; signal clearup: std.logic; 清除上升状态 signal cleardn:std_logic; 清除下降状态 begin Ctrl辻t: process (reset, ticlk) 电梯控制进程 variable hao: integer range 3 downto 1; 显示楼层 同 weizh 作用一样,但 显示方便 begin if reset=, 17 then meng=liftl; clearup=, 0,; cleardn=,O; else if ticlk^ event and ticlk=, 1/ then case meng is when liftl= doo

文档评论(0)

kunpengchaoyue + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档