数字电路与逻辑设计教学 6.7 VerilogHDL在时序电路的设计.pdfVIP

数字电路与逻辑设计教学 6.7 VerilogHDL在时序电路的设计.pdf

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
2015/4/15 1 A Guide to Verilog HDL for Sequential Circuit 苏钢 gsu@hust.edu.cn 2015-04-11 Introductory information ?3’b101 width’base formatnumber ?parameter BIT=1, BYTE=8,PI=3.1415926; ?‘define (global) ?reg [3:0] Counter; ?reductions operator(缩位) e.g., A=4’b1010, ^A=A[0]^A[1]^A[2]^A[3]=1’b1;&A=0 ?Concatenation operators(位拼接) e.g., A=1’b1,C=2’b10, Y={A,C}=3’b110 2 Introductory information (cont.) module module_name(ports) ports declaration; parameter; data type definitions; behavior description endmodule 3 ?Blocking Assignment Statement 阻塞型赋值 = ?Non-Blocking Assignment Statement 非阻塞型赋值 <= e.g., A=2,B=4 begin begin B=A; B<=A; C=B+1; C<=B+1; end end Introductory information (cont.) C is 3 C is 5 4 Outline ?Behavior of flip-flops ?Behavior of shift registers ?Behavior of counters ?State machine ?Home work 5 Combinational-logic-circuit-based reg wire cl_a; assign cl_a = a ?A:B; 6 reg cl_a; always @(a or A or B) begin cl_a = a? A : B; end 2015/4/15 2 Flip-flop-based reg ? reg f_a; ? always @(posedge clk) ? begin f_a <= a ? A : B; end 7 Behavior of D Flip-flop module A_DFF (Q,D,CP,Rd); output Q; input D,CP,Rd; reg Q; always @(posedge CP or negedge Rd) if (~Rd) Q<=1’b0; else Q<=D; endmodule; //with asynchronous reset module S_DFF (Q,D,CP,Rd); output Q; input D,CP,Rd; reg Q; always @(posedge CP ) if (~Rd) Q<=1’b0; else Q<=D; endmodule; //with synchronous reset 8 Behavior of JK Flip-flop module JK_FF (J,K,CP,Q,Qn); output Q,Qn; input J,K,CP; reg Q; assign Qn=~Q; always @(negedge CP) case ({J,K}) 2’b00: Q<=Q; 2’b01: Q<=1’b0; 2’b10: Q<=1’b1; 2’b11: Q<=~Q; endcase; endmodule; 9 Behavior of universal shift registers ? Serial input / Serial output Shift register ? Universal Shift Register ? SN74LS194 – Parallel input / parallel output – Four Parallel data inputs permit parallel loading of external data. – Two serial input, one for left-shift, and the other for right-shift. – Serial output data are taken from either Q0(LSB) or Q3(MSB) depending on s

文档评论(0)

1243595614 + 关注
实名认证
文档贡献者

文档有任何问题,请私信留言,会第一时间解决。

版权声明书
用户编号:7043023136000000

1亿VIP精品文档

相关文档